ModelSim电子系统分析及仿真(第3版)-预付365_综合福利消费平台
0 购物车
商品详情
首页 > 图书> 电子与通信> 基本电子电路 > ModelSim电子系统分析及仿真(第3版)
ModelSim电子系统分析及仿真(第3版)
商品价格: ¥34.50 [定价  ¥69.00]
商品编号: 12767132
服      务: 图书 负责发货并提供售后服务
商品运费: 全站满99包邮,不满收10元,实际运费以支付页面金额为准。
温馨提示: 不支持7天无理由退货
购买数量: - +
365商城不参加品牌方的满减优惠及赠品活动
商品介绍
规格与包装
  • 商品名称:ModelSim电子系统分析及仿真(第3版)
  • 商品编号:12767132
产品特色

第3版前言

ModelSim是Mentor Graphics公司开发的EDA工具软件,是一款主要应用于HDL仿真的软件,为调试设计提供了强有力的支持。

ModelSim是一款功能强大的仿真软件,不仅支持对HDL的仿真,还支持SystemC等语言调试和仿真,使得在整个的设计中可以采用更灵活的手段来完成设计功能。

在仿真的过程中,ModelSim可以独立完成HDL代码的仿真,还可以结合FPGA开发软件对设计单元进行时序仿真,得到更加真实的仿真结果。大多数FPGA厂商都提供了ModelSim接口,使得设计者在器件的选择和结果的掌握上更加得心应手。

本书第1版在2011年出版以来,获得了广大读者的广泛好评,已多次重印。并且,很多读者来信介绍他们具体应用ModelSim的情况,对本书提出了很多宝贵意见和建议。在此基础上,根据读者的建议、结合相关企业应用的需求和高校教学需求,再根据作者多年的教学和工作经验,进行了多次修订。第3版是在最新软件版本ModelSim 10.4的基础上写作的,基于64位操作系统,更加贴合实际应用,可以更好地帮助读者深入应用ModelSim。

本书在编写过程中,突出了以下特点。

1.直观易懂性

全书以实例图解的形式介绍基础知识和实例操作,所有知识点和操作流程尽可能给出配套图片,直观易懂,使读者能够在最短的时间内获取最多的知识。

2.可扩展性

全书以ModelSim SE 10.4版软件为平台进行讲解,在讲解过程中提供了命令行操作和菜单操作两种操作方法,命令行操作使得讲解的知识更具扩展性。

3.实用性

全书采用了基础知识介绍和实例操作相结合的方法,互相补充,书中的实例都是具有实际意义的设计实例,并根据内容的不同进行了选取,使读者能够更好地理解操作的过程,读者在学完本书后能够快速地将知识应用于生产实践。

4.结构清晰,讲解详尽

全书采用从基础知识到综合实例的循序渐进的讲解方法,一步一步地提高读者的仿真技能,而且每个知识点和实例都做了尽可能的详细讲解,使读者学习起来轻松自如。

5.多媒体示范

本书的配套资源中提供了所有实例的视频操作 ,读者可以在观看视频时增强对知识点的理解。同时,视频中操作的步骤严格按照书中实例的步骤进行,可以看到文字难以描述的过程,读者可以加QQ群(970090855)获取配套资源。

本书分为8章,分别介绍概述、操作界面、工程和库、ModelSim对不同语言的仿真、利用ModelSim进行仿真分析、ModelSim的协同仿真、ModelSim对不同公司器件的后仿真、ModelSim的文件和脚本等内容。

第1章  概述。介绍IC设计的基本流程和ModelSim不同版本的特点和功能,并给出一个简单的实例,以使读者快速地掌握使用ModelSim进行基本仿真的流程。

第2章  操作界面。介绍ModelSim的基本操作界面,包括菜单栏中各命令的基本功能和主界面中工作区、命令区、MDI区的功能,并介绍仿真中经常使用到的窗口。

第3章  工程和库。介绍工程和库的相关知识,给出详细的工程管理方法和库的建立及导入方式,并给出了实例。

第4章  ModelSim对不同语言的仿真。介绍使用ModelSim对Verilog语言和VHDL的仿真方法,并分别配以实例进行讲解,给出了对SystemC的仿真方法和三种语言混合仿真所需注意的事项。

第5章  利用ModelSim进行仿真分析。介绍如何使用ModelSim观察仿真结果,进行仿真分析,主要包括WLF文件、创建波形激励、波形分析、存储器查看、数据流窗口、原理图窗口、性能分析、信号探测和利用JobSpy进行批处理等内容,在章末配有多个实例来演示这些功能。

第6章  ModelSim的协同仿真。介绍如何使用其他软件工具与ModelSim进行系统仿真,弥补ModelSim的不足,主要介绍了使用Debussy和Matlab与ModelSim进行仿真的配置方法和步骤,并给出了实例。

第7章  ModelSim对不同公司器件的后仿真。介绍利用FPGA开发工具与ModelSim联合进行后仿真的过程,以Intel、Xilinx、Lattice等业界主流厂商的开发工具为例,并结合实例进行演示。

第8章  ModelSim的文件和脚本。介绍前7章中涉及的文件类型,包括SDF文件、VCD文件、Tcl文件、DO文件等,这些文件都是在仿真中有重要作用的文件,在本章统一进行讲解,并配以实例进行演示。

本书1~4章主要由哈尔滨理工大学于斌编写,5~8章主要由哈尔滨理工大学黄海编写,参与本书编写和配套资源开发的还有谢龙汉等。由于时间仓促,书中难免有疏漏之处,请读者谅解。如有任何意见和建议,请读者加QQ群(970090855)或通过电子邮件yubin@hrbust.edu.cn与我们交流。

 


                                                                 编  者


编辑推荐

书中配有大量插图,并结合实例详细地讲解使用ModelSim进行仿真操作的基本知识和方法技巧,配有本书实例操作的视频讲解,读者能够轻松学习。

内容简介

ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界**单内核支持VHDL和Verilog混合仿真的仿真器,它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的**仿真软件。 本书以ModelSim SE 10.4版软件为平台,由浅入深、循序渐进地介绍ModelSim 10.4软件各部分知识,包括ModelSim 10.4的基础知识、菜单命令、库和工程的建立与管理、Verilog/VHDL文件编译仿真、采用多种方式分析仿真结果,以及与多种软件联合仿真等知识。书中配有大量插图,并结合实例详细地讲解使用ModelSim进行仿真操作的基本知识和方法技巧,配书光盘中有本书实例操作的视频讲解,读者能够轻松学习。

作者简介

于斌,哈尔滨工程大学,副教授,长期从事电子设计与系统分析的教学和科研工作,具有丰富的经验。谢龙汉,华南理工大学机械与汽车工程学院,副院长,教授。2002年毕业于浙江大学过程装备与控制工程专业本科、硕士,之后在广州本田汽车有限公司研发中心工作过两年,2010年获得香港中文大学机械与自动化工程系的博士学位。国内外学术期刊上发表30多篇学术论文,写作经验丰富,作品技术含量高,实用性强。

目录

目   录
第1章  概    述 1
1.1  IC设计与ModelSim 2
1.1.1  IC设计基本流程 2
1.1.2  ModelSim概述 3
1.2  ModelSim应用基本流程 5
1.3  ModelSim基本仿真流程 5
1.3.1  创建一个工作库 6
1.3.2  编译设计文件 7
1.3.3  运行仿真 8
1.3.4  查看结果 9
1.4  ModelSim工程仿真流程 9
1.4.1  创建工程及工程库 10
1.4.2  创建新文件 11
1.4.3  加载设计文件 12
1.4.4  编译源文件 13
1.4.5  运行仿真和查看结果 14
1.4.6  工程调试 14
第2章  操 作 界 面 16
2.1  整体界面 17
2.2  菜单栏 17
2.2.1  File菜单 18
2.2.2  Edit菜单 25
2.2.3  View菜单 28
2.2.4  Compile菜单 29
2.2.5  Simulate菜单 31
2.2.6  Add菜单 34
2.2.7  Tools菜单 34
2.2.8  Layout菜单 40
2.2.9  Bookmarks菜单 40
2.2.10  Window菜单 41
2.2.11  Help菜单 43
2.3  工具栏 43
2.4  标签区 44
2.5  命令窗口 45
2.6  MDI窗口 45
2.6.1  源文件窗口 46
2.6.2  波形窗口 47
2.6.3  列表窗口 48
2.6.4  数据流窗口 48
2.6.5  属性窗口 49
2.6.6  进程窗口 50
2.6.7  对象窗口 50
2.6.8  存储器窗口 51
2.6.9  原理图窗口 51
2.6.10  观察窗口 52
2.6.11  状态机窗口 52
2.7  界面的设置 53
2.7.1  定制用户界面 53
2.7.2  设置界面参数 55
第3章  工 程 和 库 57
3.1  ModelSim工程 58
3.1.1  删除原有工程 58
3.1.2  开始一个新工程 58
3.1.3  工程标签 60
3.1.4  工程编译 61
3.1.5  仿真环境配置 64
3.1.6  工程文件组织 66
3.1.7  工程及文件属性设置 68
实例3-1  工程文件管理 73
3.2  ModelSim库 77
3.2.1  概述 78
3.2.2  库的创建及管理 78
3.2.3  资源库管理 81
3.2.4  导入FPGA的库 81
3.2.5  本节实例 83
第4章  ModelSim对不同语言的仿真 89
4.1  VHDL仿真 90
4.1.1  VHDL文件编译 90
4.1.2  VHDL设计优化 91
4.1.3  VHDL设计仿真 97
4.1.4  还原点和仿真恢复 102
4.1.5  TEXTIO的使用 103
实例4-1  VHDL设计的仿真全过程 105
4.2  Verilog仿真 110
4.2.1  Verilog文件编译 110
4.2.2  Verilog设计优化 112
4.2.3  Verilog设计仿真 112
4.2.4  还原点和仿真恢复 118
4.2.5  单元库 118
4.2.6  系统任务和系统函数 119
4.2.7  编译指令 121
实例4-2  32位浮点乘法器的Verilog仿真过程 122
4.3  SystemC仿真 127
4.3.1  概述 127
4.3.2  SystemC文件的编译和链接 128
4.3.3  设计仿真和调试 133
4.3.4  常见错误 135
4.4  混合语言仿真 137
4.4.1  编译过程与公共设计库 137
4.4.2  映射数据类型 139
4.4.3  VHDL调用Verilog 142
4.4.4  Verilog调用VHDL 144
4.4.5  SystemC调用Verilog 144
4.4.6  Verilog调用SystemC 145
4.4.7  SystemC调用VHDL 146
4.4.8  VHDL调用SystemC 147
第5章  利用ModelSim进行仿真分析 149
5.1  仿真概述 150
5.2  WLF文件和虚拟对象 151
5.2.1  保存仿真状态 151
5.2.2  Dataset结构 154
5.2.3  Dataset管理 155
5.2.4  虚拟对象 157
5.3  利用波形编辑器产生激励 160
5.3.1  创建波形 160
5.3.2  编辑波形 166
5.3.3  导出激励文件并使用 169
5.4  ModelSim波形分析 172
5.4.1  波形窗口和列表窗口 172
5.4.2  时间标记 174
5.4.3  窗口的缩放 175
5.4.4  在窗口中搜索 177
5.4.5  窗口的格式编排 178
5.4.6  波形和列表的保存 181
5.4.7  信号总线 183
5.4.8  光标操作 183
5.4.9  其他功能 184
5.4.10  波形比较 185
5.5  存储器的查看和操作 191
5.5.1  存储器的查看 191
5.5.2  存储数据的导出 193
5.5.3  存储器初始化 195
5.5.4  存储器调试 195
5.6  数据流窗口的使用 196
5.6.1  概述 196
5.6.2  设计连通性分析 197
5.6.3  信号追踪和查找 198
5.6.4  设置和保存打印 200
5.6.5  本节实例 201
5.7  原理图窗口的使用 205
5.8  状态机窗口的使用 209
5.9  ModelSim的剖析工具 211
5.9.1  运行性能剖析和存储器剖析 211
5.9.2  查看性能剖析结果 212
5.9.3  查看存储器剖析报告 216
5.9.4  保存结果 216
5.10  覆盖率检测 218
5.10.1  启用代码覆盖 218
5.10.2  覆盖率的查看 223
5.10.3  覆盖率检测的过滤 226
5.10.4  覆盖信息报告 228
5.11  信号探测 231
5.12  采用JobSpy控制批处理仿真 233
5.12.1  JobSpy功能与流程 234
5.12.2  运行JobSpy 234
5.13  综合实例 236
实例5-1  三分频时钟的分析 236
实例5-2  同步FIFO的仿真分析 244
实例5-3  基2的SRT除法器的仿真分析 250
第6章  ModelSim的协同仿真 258
6.1  ModelSim与Debussy的协同仿真 259
6.1.1  Debussy工具介绍 259
6.1.2  Debussy配置方法 263
实例6-1  与Debussy的协同仿真 266
6.2  ModelSim与Matlab的协同仿真 274
实例6-2  与Matlab的协同仿真 277
实例6-3  与Simulink的协同仿真 282
实例6-4  使用cosimWizard进行协同仿真 291
第7章  ModelSim对不同公司 器件的后仿真 301
7.1  ModelSim对Intel器件的后仿真 302
7.1.1  QuartusPrime简介 302
7.1.2  后仿真流程 304
实例7-1  直接采用QuartusPrime调用ModelSim进行仿真 305
实例7-2  先用QuartusPrime创建工程,再用ModelSim进行时序仿真 318
7.2  ModelSim对Xilinx器件的后仿真 325
7.2.1  Vivado简介 325
7.2.2  后仿真流程 326
实例7-3  用VIVADO对全加器进行时序仿真 326
实例7-4  用VIVADO直接调用ModelSim进行时序仿真 336
7.3  ModelSim对Lattice器件的后仿真 341
7.3.1  Diamond简介 341
7.3.2  后仿真流程 342
实例7-5  用Diamond对全加器进行时序仿真 342
实例7-6  用Diamond完成布局绕线,使用ModelSim进行时序仿真 348
第8章  ModelSim的文件和脚本 351
8.1  SDF文件 352
8.1.1  SDF文件的指定和编译 352
8.1.2  VHDL的SDF 354
8.1.3  Verilog的SDF 354
8.1.4  SDF文件信息 356
8.2  VCD文件 358
8.2.1  创建一个VCD文件 358
8.2.2  使用VCD作为激励 360
8.2.3  VCD任务 361
8.2.4  端口驱动数据 362
8.3  Tcl和DO文件 364
8.3.1  Tcl命令 364
8.3.2  Tcl语法 364
8.3.3  ModelSim的Tcl时序命令 365
8.3.4  宏命令 366
8.3.5  本节实例 368

  • 著者于斌
  • 出版社电子工业出版社
  • ISBN9787121375651
  • 版次3
  • 包装平装
  • 出版时间2019-11-01
  • 用纸胶版纸
  • 页数376
  • 正文语种中文

温馨提示

由于部分商品包装更换较为频繁,因此您收到的货品有可能与图片不完全一致,请您以收到的商品实物为准,同时我们会尽量做到及时更新,由此给您带来不便多多谅解,谢谢!